第一篇:实验总结报告
课程总结报告
姓名 : 学院:
在本课程中,我一直按时上课,从不旷课,认真听讲,积极参与课堂讨论,主动思考并回答老师的提问。在创业实践这个课程学习中,首先,我在老师的指导下对自己的创业资源进行了归纳与分析。仔细分析并搞清自己的创业优势资源,并认真思考了如何将资源优势转化为创业优势和根据自己的资源优势与实力,思考如何走出创业第一步。利用老师提供的行业分类为自己设想了一个具体的创业点子。
接下来,我学习了从热点中捕捉创业商机,掌握通过分析热点捕捉创业商机的基本方法。比如注意到了新生代子女和老年人作为消费群体的需求新变化和特点已成为时下热点话题,因此分析当前儿童和老年人市场的创业机会。针对人们收入水平提高所带来的需求新变化这一热点话题,因此分析了人们可支配收入提高所带来的创业机会。并且结合自身作为大学生的身份,以学校学生宿舍区复印服务社为研究对象,或以自己最熟悉的产品/服务为研究对象。
除此之外,我还学会了利用EXCEL处理简单函数,进行了收益分析。学习了利用五力分析模型和SWOT分析对自己的创业商机做可行性分析。了解和掌握创业企业市场分析的基本方法,通过这种分析结果进行企业决策。还学习了本量利计算,它是了解和掌握创业企业产品或服务的本量利计算基本方法。握利用本量利计算的结果我可以对创业决策进行调整的基本方法。
最后,我还针对自己的具体创业项目进行了岗位分析和人员安排信息的思考。写出了我的创业计划中创建公司的岗位、任务、人员数量、待遇等信息。通过京东商城的案例进行了商业模式的分析训练,并且针对自己的创业项目确定适当商业模式的能力。结合课程中的内容对给出案例进行商业模式分析。
经过系统的创业实践的学习,我对创业所需懂得的基本理论有了一定的掌握,并通过老师课堂讲授和完成老师的实验报告,理清了自己的创业思路,细化了创业的各个方面的内容。使得我的创业计划不再显得那么鲁莽,没有逻辑。
课堂学习中,我主要掌握的原理就是五力分析、SWOT分析、量本利分析和商业模式的认识。
五力分析模型是迈克尔·波特于80年代初提出,对企业战略制定产生全球性的深远影响。用于竞争战略的分析,可以有效的分析客户的竞争环境。五力分别是: 供应商的讨价还价能力、购买者的讨价还价能力、潜在竞争者进入的能力、替代品的替代能力、行业内竞争者现在的竞争能力;
SWOT分析方法是一种企业战略分析方法,即根据企业自身的既定内在条件进行分析,找出企业的优势、劣势及机会,威胁,其中,S、W是内部因素,O、T是外部因素。按照企业竞争战略的完整概念,战略应是一个企业“能够做的”(即组织的强项和弱项)和“可能做的”(即环境的机会和威胁)之间的有机组合。
量本利分析法,也叫盈亏平衡分析,是通过分析生产成本、销售利润和产品数量这三者的关系,掌握盈亏变化的规律,指导出企业选择能够以最小的成本生产最多产品并可使企业获得最大利润的经营方案。
商业模式是指一个完整的产品、服务和信息流体系,包括每一个参与者和其在其中起到的作用,以及每一个参与者的潜在利益和相应的收益来源和方式。在分析商业模式过程中,主要关注一类企业在市场中与用户、供应商、其他合作办的关系,尤其是彼此间的物流、信息流和资金流。在做实验作业的过程中发现自己有不足的情况的时候,我会及时和一起上课的同学进行讨论,通过与他人的沟通和交流中,获取有效的信息,对自己的观点不断去推敲和修正。也和舍友、其它专业的同学进行交流,将课堂上要求展示的自己的创业计划,做成PPT展示给周围的同学,看周围的同学的反应,询问他们最真实的感受,比如,我的创业点子是否足够有创意,你认为它可行性强么,有什么我表达不清楚的地方或者细节的疏忽,有什么建设性的意见等
总体的收获就是在课堂的学习中,我认识到了创业并不是一种被迫性的,很多人创业不是在职场失意的时候。很多人创业是充满了激情,是为了在更高一层次实现自我。是为了追求自己的一番事业。就像在最后一节课里老师给我们请来的总经理的亲身经历一样。还感受颇多的一点是创业并不是头脑一热,光有激情、有想法就可以做到的,它也需要我们具有专业的知识,掌握基本的理论。在这方面,通过我们的课堂学习,我觉得我比以前有了很大的提升。
第二篇:实验总结报告
实验报告 专业:______
姓名:______
学号:______
日期:______
桌号:______________
课程名称:
模拟电子技术基础实验
指导老师:
成绩:________________ 实验名称:
实验总结报告
一、体会与收获
在这个学期中,我们一共完成了从常用电子仪器的使用到EDA 半导体器件特性仿真等五个实验课题。具体的实验情况在实验报告中已经很清楚的反映了。在此我想谈谈我的体会与收获。
首先,我们在试验中面临着很多问题。实验仪器就是其中之一。实验室中的很多仪器:示波器、交流毫伏表,确实是由于年代久远而不能正常工作。但我发现,很多同学在实验现象没出来的情况下就借口说是实验仪器的问题。其实不然。很多情况下,仪器没有调试好,导致现象不明显或者与理论相差甚远。
在做基本运算电路设计实验时,通过老师上课精彩的讲解使我感受到了一种“新的世界观”,认识到了理论学习和实验的区别,在以后做实验的时候要对所有器械保持怀疑的心态,坚持“自己测的才是准的”原则。
通过解决每一次实验出现的问题,我在做实验的时候变得更加有耐心。在连接电路前,都会认真分析一下实验原理。然后根据实验书和老师的ppt上的步骤一步一步的来做。果然,出现错误的几率小了很多。其次,做实验要养成好的习惯。很多同学在做实验的时候态度很随便。没有注意诸如:连线之前检查导线是否导通、用万用表测电阻时不质疑短接调零、链接电路是带电操作等等。也许,在很多人看来这些都是小问题。但真正每一次都做到一丝不苟,养成良好的习惯的同学并不多。
接下来,我想说的是实验的目的。刚开始,我认为实验是一项任务,只要完成了就行。无非就是照着课本连连线、得出个已经计算好的结果就行了。但自从自己做功放后我改变了这种看法。在做功放的时候,虽然原理图都是被人提前设计好的。但是在做得时候总是会需要自己去调试、布线。有时候看似连接的很完美的电路,可能会因为某个地方的虚焊而不能工作。这种情况非常锻炼你能力。在找错误的地方的时候你自然而然的明白了电路的原理。而且,当做好一个自己独立完成的功放后,会有一种成就感。
最后,我想说实验跟课本的理论相结合,在课本中学习,在实验中检验。在实验中发现,用课本知识去分析。兴趣就在这一个个的实验中激发了。当然,我明白大学的最终目的不是让我们去做一些诸如功放之类的东西,而是锻炼我们去探索、去发现、去学习的能力。可能我们做的某项东西很简单或者没有做成功,但那并不是失败,因为你已经学习到了许多。耐心并且细心的去做每一步,坚持严谨的态度做到最后。每一个人都是成功者。
二、意见与建议
对模电实验的建议:
①老师在讲课过程中的实物演示部分,可以用幻灯片播放拍摄的操作短片,或是在大屏幕上放出实物照片进行讲解,因为用第一排的仪器或元件直接讲解的话看的不是很清楚。
②实验室里除了后面的几台,前面也时不时有示波器故障,如果没有发现示波器已故障的话会给实验带来麻烦。因此希望老师可以教几个识别示波器是否故障的方法。
③选题方面,从元件的认识逐渐过渡到焊电路板进行实验,内容涵盖面合理,没有更多的建议了。
感谢老师半学期来的教诲和指导!
三、课程评价
在大学二年级的第一学期,我们按课程计划,完成了模电实验课程的学习,我感到收获很大。
老师在讲解实验课程时:教学内容丰富,授课生动、详细,思路清晰,富有逻辑性、启发性,而且善于激励学生兴趣,经常产生师生互动;他理论知识功底深厚,实践经验丰富,并且能够理论联系实际,举例生动形象,对模电的理论学习有很大帮助;教学方式得当,能够因材施教,给学生一个相对自我发展的空间。
他讲课时语言幽默,平易近人,关心学生,深受同学好评;讲课过程中认真负责,严格要求,把教书育人很好地结合起来。
通过模电实验课程,增强了我的动手能力,帮助我在以后的学习生活中能够顺利解决一些难题。希望学校今后能够为学生多开类似的课程,让在校的学生得到更多的锻炼机会。
第三篇:实验三总结报告
《数据库原理与应用》实验报告
实验名称: 实验三 学
号:
班
级: 姓
名:
软件工程
一、实验目的
1.掌握数据更新语句的使用;
2.掌握视图操作的基本方法和应用;
3.理解基于视图的查询和数据更新操作的过程。
二、实验过程
1.在实验一创建的表中使用SQL语句完成以下操作:(1)学生“宾兆琦”要退学,请删除该学生的所有记录。
cdelete from sc where sno in(select sno from student where sname='宾兆琦');delete from student where sname='宾兆琦';commit;
(2)将姓名为“朱安琪”同学的专业由原来的“信息管理与信息系统”改为“软件工程”。
update student set major='软件工程' where sname='朱安琪';commit;
(3)创建转专业记录表change_major,转专业记录表中包括:编号属性列(ID),学号属性列(sno),姓名属性列(sname),转出专业属性列(cbefore),转入专业属性列(cafter)和转专业时间属性列(ctime),其中编号属性列ID为主码,学号属性列sno为外码,change_major的表结构为
Change_major(ID,sno,sname,cbefore,cafter,ctime)create table change_major(id varchar2(20)primary key, sno varchar2(20), sname varchar2(20), cbefore varchar2(40), cafter varchar2(40), ctime date, foreign key(sno)references student(sno));
/ 8
(4)在change_major表中插入一条完整的元组,表示“朱安琪”同学的转专业信息。
insert into change_major(id,sno,sname,cbefore,cafter,ctime)values(1,101105,'朱安琪','信息管理与信息系统','软件工程',sysdate);commit;
(5)删除选修了“计算机网络”但没有及格的选课记录。
delete from sc where grade in(select grade from course,sc where course.cno=sc.cno and cname='计算机网络' and grade<60);Commit;
/ 8
(6)将(106559,路前元,男,汉族,共青团员,1995/11/8,null,null)插入学生关系。insert into student(Sno,Sname,sex,nation,political,birth,college,Major)values('106559','路前元','男','汉族','共青团员',to_date('1995/11/08','yyyy/mm/dd'),null,null);commit;
(7)在C表中插入以下元组:
“382,数据库原理与应用,3.5,选修,4”,“383,JAVA语言程序设计,4.5,选修,6”
insert into course(cno,cname,gredit,property,term,cdept)values('382','数据库原理与应用',3.5,'选修','4',null);commit;insert into course(cno,cname,gredit,property,term,cdept)values('383','JAVA语言程序设计','4.5','选修','6',null);commit;
(8)创建视图JSJ_VIEW,包括计算机科学与技术专业学生的学号,姓名,其选修的课程名及成绩属性列。
create view jsj_view(sno,sname,cname,grade)as select student.sno,sname,cname,grade from student,course,sc where student.sno=sc.sno and sc.cno=course.cno and major='计算机科学与技术' with check option;commit;
/ 8
(9)创建查询每个学生的修课学分的视图XF_VIEW,要求列出学生学号及总学分。
create view xf_view(sno,csum)as select sno,sum(gredit)from sc,course where sc.cno=course.cno group by sno;commit;
(10)在创建的视图中查询李洋洋和李向冲所修的学分数。
select csum from xf_view where sno in(select sno from student where sname='李洋洋' or sname='李向冲');
2.在实验二创建的表中使用SQL语句完成以下操作:
/ 8
(1)现有一供应商,代码为S9、姓名为英特尔、所在城市西安,供应情况如下:供应零件P5给工程J7数量为600,供应零件P4给工程J4数量为500,请将此供应商的信息和供应信息插入数据库。insert into s(sno,sname,city)values('S9','英特尔','西安');commit;insert into spj(sno,pno,jno,qty)values('s9','p5','j7',600);commit;insert into spj(sno,pno,jno,qty)values('s9','p4','j4',500);commit;
(2)请将北京供应商的供应数量加150。
update spj set qty=qty+150 where sno in(select sno from s where city='北京');commit;
(3)把全部红色零件的颜色改为黑色。
update p set color='黑' where color='红';commit;
/ 8
(4)由S5供给J4的零件P6改为由S1供应。
update spj set sno='s1' where jno='j4' and pno='p6' and sno='s5';commit;
(5)请将(S2,J7,P4,510)插入供应情况关系。insert into spj(sno,pno,jno,qty)values('s2','p4','j7',510);commit;
(6)零件P3已经停产,请将P3的相关信息从数据库中删除。
delete from spj where pno='p3';delete from p where pno='p3';commit;
/ 8
(7)创建零件名为螺丝刀的供应情况的视图P_ls,包括供应商名(Sname),零
件名(Pname),零件重量(Weight),工程项目代码(Jno),供应数量(QTY).create view p_1s(sname,pname,weight,jno,qty)as select sname,pname,weight,j.jno,qty from s,p,j,spj where s.sno=spj.sno and p.pno=spj.pno and j.jno=spj.jno and pname='螺丝刀' with check option;commit;
(8)在视图P_ls中查询供应数量为500的供应商姓名。select sname from p_1s where qty=500;
(9)请为三建工程项目建立一个供应情况的视图SJ_View,包括供应商编号,零件编号,供应数量。
create view sj_view(sno,pno,qty)as select sno,pno,qty from spj,j where spj.jno=j.jno and jname='三建';commit;
/ 8
(10)在系统表user_updatable_columns中查看视图SJ_View是否可以更新,如果不能说明原因。
select * from user_updatable_columns where table_name='SJ_VIEW';
三、实验总结
/ 8
第四篇:ASIC实验总结报告
ASIC
学院: 班级: 姓名: 学号: 序号:
实验总结报告
HDB3码制变换的功能与时序验证
一、实验目的
1、学习和掌握利用Verilog进行专用集成电路设计的流程与方法。
2、熟悉编写较完整的测试模块进行接近真实的完整测试。
3、熟悉仿真软件Modelsim的使用方法。
二、实验要求
用Verilog HDL进行HDB3解码电路描述,并写出测试文件,电路仿真结果正确。取时钟频率=2M,信号频率=2M。
三、实验原理
1、HDB3码
由功率谱的特性,我们知道,NRZ 单极性不归零码不适合在信道上传输,传号交替反转码(AMI码)为一种双极性码,为了克服AMI 码连零可能较多的缺点,必须提出新的编码方案,对NRZ码中的连零作适当的处理。高密度双极性码就是针对这一问题而提出来的一种编码方案。所谓高密度,是指传输码中“l”码的密度较高,连“0”码的个数最多为n 个,这种码叫HDBn码。在实用中,n 一般等于3,这就是HDB3 码。
当连零数不大于3 时,HDB3 码与AMI 码的编码规则相同。当连零数超过3 时,以四 个连零作为“一节”,分别用不同的取代节取代这四个连零。取代节有两种,分别为“000V” 和“B00V”,这里的B 和V 均为传号脉冲。这样,传输码中的连零数就被控制在3 个以 内。在取代节中,V 叫做破坏点,用它在码流中破坏极性交替这一原则,以便接收端识别。B 码是为了平衡正负极性而加入的一个附加传号,它并不破坏极性交替的原则,因此又称它 为非破坏点。HDB3 码的取代原则为:
(1)出现四个连零用取代节取代;
(2)当相邻破坏点V 中间有奇数个原始传号(不包括B 码)时,用“000V”取代;(3)当相邻破坏点V 中间有偶数个原始传号时,用”B00V'取代;
(4)用“B00V'取代时,B 码和V 码与它们前面一个原始传号(或V 码)极性相反;(5)用”000V'取代时,V 码与它前面的传号极性相同。
可以证明,按照上述原则编出的HDBn码,相邻破坏点V 的极性也是相反的,因此,破坏点的引入不会导致码流的正负不平衡。由于HDB3 码中的V 码破坏了极性交替原则,因此,在收端很容易找到它。在译码时,将破坏点V 检出,包括它前面的三位码一律还原为“0”码就完成了HDB3 码的译码工作。
2、HDB3解码电路
HDB3 译码电路完成编码的反变换,关键之处是检出破坏点,取消“取代节”,即将 “000V”或“B00V”还原成“0000”,其管腿框图如下:
图2 HDB3译码电路管脚图
四、HDB3译码电路模块设计
根据编码规则,解码过程步骤为:首先将同步时钟信号、正整流信号、负整流信号输入解码模块中,然后从正整流信号和负整流信号中可以检测出两路包含V码的信号,将两路V码合成一路信号,再对其进行解码,最后将双相码变换成单相码。示意图如下图所示:
图7 解码流程示意图
1、V码检测
V码检测同时进行正V码检测和负V码检测,这两个检测模块的设计思想类似。当正整流信号上升沿到来时对输入的脉冲进行计数,当计数到1时,输出一个脉冲作为+V脉冲,同时计数器清零。在计数期间,一旦检测到负整流信号脉冲,计数器立即清零,重新从零计数。这是因为在两个正整流信号脉冲之间,如果存在负整流信号脉冲,说明第二个正整流信号脉冲不是+V码,只有在连续两个正整流信号脉冲之间没有负整流信号脉冲,才能说明这两个正整流信号脉冲在HDB3码中是同极性的,达到检测+V码的目的。-V码检测与+V码的检测类似,所不同的是-V码的检测是在正整流信号脉冲的控制下对负整流信号脉冲进行计数、检测和判定。图8是正V码检测的流程图:
图8 正V码检测流程示意图
2、V码和B码解码过程
检测到V码后,根据HDB3编码规则,只需将V码及之前3位码全部置零就可同时完成扣除V码和B码的操作。这里需要使用两组4位移位寄存器实现。扣除V码和B码之后,还需要将双相码变换成单相码,即当输入是“00”时输出“0”,输入是“01”或“10”时输出“1”,这样就完成了HDB3的解码。图9为双相码变单相码流程示意图:
图9 双相码变单相码流程示意图
五、实验结果
交互仿真
综合后的门级电路
门级电路仿真
七、实验总结
通过本次试验,我对verilog有了更加深入的了解,对ASIC设计也有了直观的认识与体验。在实验中,由于HDB3码编码、译码规则的特殊性,本次测试程序并没有采用给随机数进行测试的方式,而是通过给一串典型的固定激励,观察输出结果是否与预期一致。再通过反复多次测量以确保电路功能的准确性,本实验报告中只给出了一组典型测试数据的仿真波形与结果。通过本次实验,我深刻体会到测试程序设计的重要性,它涉及到的问题可能比电路本身还要复杂,需要在以后编写程序时继续深入学习。感谢老师助教们一学期以来的辛勤付出
八、实验代码
1、HDB3译码电路模块设计
module jiema(hdb,hdb_,clk,reset,nrz);input hdb,hdb_,clk,reset;
//define input ports outputnrz;
//define output ports regnrz;reg[1:0] shift_reg[3:0];
//define shift register reg count;
//flag to detect positive V code reg count_;
//flag to detect negtive V code reg[1:0] pole_change;
//polar converting state reg[1:0] flag;
//record input signal
always @(hdb or hdb_)
//detect positive V code and negtive V code begin if(hdb)begin if(count)begin flag=2'b00;count=0;
//clear count flag end else
begin flag=2'b10;count=1;
//set count flag end
count_=0;end else if(hdb_)begin if(count_)begin flag=2'b00;
count_=0;
//clear count_ flag end else begin flag=2'b01;
count_=1;
//set count_ flag end count=0;
end else flag=2'b11;
end
always @(posedgeclk or posedge reset)begin if(reset)begin shift_reg[3]<=0;shift_reg[2]<=0;shift_reg[1]<=0;shift_reg[0]<=0;pole_change<=0;end else begin pole_change<=shift_reg[3];
//shift out data from shift register shift_reg[3]<=shift_reg[2];shift_reg[2]<=shift_reg[1];shift_reg[1]<=shift_reg[0];case(flag)
2'b00:begin shift_reg[3]<=0;
//clear B code and V code shift_reg[0]<=0;
end
2'b01:shift_reg[0]<=2'b01;
2'b10:shift_reg[0]<=2'b10;
2'b11:shift_reg[0]<=2'b00;default:shift_reg[0]<=2'b00;endcase end end
always @(posedgeclk or posedge reset)
//ouput signal nrz begin if(reset)begin nrz<=0;
end else if(pole_change==2'b10 || pole_change==2'b01)nrz<=1;else nrz<=0;end endmodule
2、HDB3译码模块测试程序
// Name:
WangYing/ZhengXueYing/YuHong // Class:
2010211202 // Number:
10211059 // Create Date:
23:43:10 05/14/202_
// Module Name:
HDB3_decoder // Version:
ModelSim SE 6.5c
`timescale 100ns/10ns modulejiema_test;reg hdb,hdb_,clk,reset;
//drive input ports wirenrz;
//test output port reg flag;
//detect first high level integeri;reg[35:0] exp_nrz;
//output expect reg[39:0] judgement;
//judge the accuracy between the output and expect reg[39:0] result;
//show the simulation result Jiamau1(.hdb(hdb),.hdb_(hdb_),.clk(clk),.reset(reset),.nrz(nrz));
//instantiation
initial
//initialize variables and input reset signal begin flag=0;
i=0;exp_nrz=36'b0000_0000_1101_1000_0100_0111_0001_1000_0111;clk=0;reset=0;
#1 reset=1;
#5 reset=0;end
always #2.5 clk=~clk;
//clock signal
initial
//input test signal begin hdb=0;hdb_=0;
#10 hdb=1;hdb_=0;
#5
hdb=0;hdb_=1;
#5
hdb=1;hdb_=0;
#5
hdb=0;hdb_=0;
#15 hdb=1;hdb_=0;
#5
hdb=0;hdb_=1;
#5
hdb=1;hdb_=0;
#5
hdb=0;hdb_=0;
#15 hdb=0;hdb_=1;
#5
hdb=1;hdb_=0;
#5
hdb=0;hdb_=1;
#5
hdb=0;hdb_=0;
#15 hdb=1;hdb_=0;
#5
hdb=0;hdb_=1;
#5
hdb=0;hdb_=0;
#10 hdb=0;hdb_=1;
#5
hdb=1;hdb_=0;
#5
hdb=0;hdb_=1;
#5
hdb=0;hdb_=0;
#5
hdb=1;hdb_=0;
#5
hdb=0;hdb_=1;
#5
hdb=1;hdb_=0;
#5
hdb=0;hdb_=0;
#10 hdb=1;hdb_=0;while(1)begin
#5 hdb=0;hdb_=1;
#5 hdb=0;hdb_=0;
#10 hdb=0;hdb_=1;
#5 hdb=1;hdb_=0;
#5 hdb=0;hdb_=0;
#10 hdb=1;hdb_=0;end end
always @(posedgenrz)begin if(!flag)begin flag<=1;result<=“right”;end end
always @(posedgeclk)
//judge the result and show the information begin if(flag)begin if(i<36)begin if(nrz==exp_nrz[i])judgement<=“right”;else begin judgement<=“wrong”;
result<=“wrong”;end if(i!=0)
$display(“ %s!”,judgement);
$write(“nrz=%b;expect: nrz=%b;”,nrz,exp_nrz[i]);
i<=i+1;
end else begin
$display(“ %s!”,judgement);
$display(“The simulation result is %s!”,result);
$stop;end end end endmodule
3、jiema.tcl 约束文件内容
set LIBRARY /home2/student/lib/train/synopsys
set top jiema set_attr library $LIBRARY/typical.lib read_hdl {jiema.v} elaboratejiema setcyc 20.00 procall_inputs {} {find-port-inputs-no_clocks *} procall_outputs {} {find-port-outputs *} read_sdcjiama.sdc synthesize-to_generic-no_incremental synthesize-to_mapped-eff medium write_hdljiama>jiema.vg write_sdf –design jiema>jiema.sdf write_sdcjiema>jiema.gate.sdc
4、jiema.sdc 文件内容: setsdc_version 1.4 set_units-capacitance 1000.0fF set_units-time 1000.0ps # Set the current design current_design control create_clock-name “clk”-add-period 20.0-waveform {0.0 10.0} [get_portsclk] set_input_delay –clock [get_clocksclk] –add_delay 2.0 [(hdb,hdb_,clk,reset,nrz] set_output_delay –clock [get_clocksclk] –add_delay 2.0 [get_portsyout]
第五篇:实验总结报告要求202_
陶瓷工艺设计性综合实验总结报告
题目:
学 院:
专业名称:
学 号: 姓 名:
指导老师:
202_年12月
实验总结报告参考提纲
(教务处要求:只能手写,不能打印)实验的目的意义
1.1课题本身的目的意义
(所选瓷种生产意义、产业发展现状,配方技术发展趋势等)
1.2 通过实验达到的教学目的
(实验目的PPT)实验原理
2.1高岭土、石英、长石在陶瓷坯料配方中的作用 2.2电解质解胶泥浆稀释原理 2.3注浆成型原理 实验及测试设备
3.1实验设备
(ppt,列表、设备型号、生产厂家)
3.2 测试设备
(PPT,列表、设备型号、生产厂家)实验内容
4.1实验工艺流程
(ppt附流程图)
4.2 坯料制备过程
(1)、(2)————
4.3 试样成型过程
(1)、(2)———— 4.3 烧成温度确定过程
(1)、(2)————
4.4 试样烧成过程
(1)、(2)————
4.5 试样抗弯强度测试
(1)、(2)————
4.6 泥浆稀释实验
(1)、(2)————
4.7 注浆成型试样 实验结果与讨论
5.1烧成温度测试结果与讨论 5.2抗弯强度测试结果与讨论 5.3泥浆稀释实验结果与讨论 5.4注浆成型实验结果与讨论 收获与体会
针对以下实验目的分别完成
(1)掌握陶瓷材料生产工艺过程,具备设计和实施实验的能力,并能对结果进行分析并得到合理有效的结论;(2)具备运用网络搜索工具等现代信息技术进行本专业文献检索、资料查询的能力;(3)具有在多学科团队中发挥不同角色作用的能力;(4)具有不断学习和适应发展的能力。
7主要参考文献(格式)
[1] 王静.中国古代透明青瓷釉自还原法仿制及呈色规律研究[D].西安:陕西科技大学,202_.[2]李其江,吴隽,吴军明,等.龙泉粉青釉的仿制及呈色机制研究[J].陶瓷学报,202_, 30(4):423-427.